From 4ca48ee2409ad28c9e25168e96695b6caf22ac6d Mon Sep 17 00:00:00 2001 From: bg Date: Tue, 18 Sep 2012 17:46:41 +0200 Subject: [PATCH] switching to packed structure --- echo/aes_enc_round.h | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/echo/aes_enc_round.h b/echo/aes_enc_round.h index 18ffd9b..54bf6b0 100644 --- a/echo/aes_enc_round.h +++ b/echo/aes_enc_round.h @@ -20,11 +20,11 @@ #ifndef AES_ENC_ROUND_H_ #define AES_ENC_ROUND_H_ -typedef struct{ +typedef struct __attribute__((packed)) { uint8_t s[16]; } aes_cipher_state_t; -typedef struct{ +typedef struct __attribute__((packed)) { uint8_t ks[16]; } aes_roundkey_t; -- 2.39.2